( DAC 04 Item 28 ) --------------------------------------------- [ 02/09/05 ]
Subject: Pulsic Lyric
DEATH TO VIRTUOSO! (Part III) -- Taking the "British Invasion" route a la
the Beatles, Pulsic Lyric is yet another Virtuoso wannabe that hails from
Bristol, UK. On the techinical side, Pulsic's claim-to-fame is that
evidently it's quite good at doing incremental design changes.
Pulsic Lyric:
Pulsic is the new kid in town for the full custom layout world, well
almost 3 years. A British company from Bristol took CCT idea way
further that Cadence. They decided that a shape based router can do
not only detail but also fast routing. In the latest Pulsic demo Lyric
was 10-100x faster that the Cadence VCAR. But this is not enough;
they also work on placement engines and routing capabilities. A few
characteristics that make me exited about the Pulsic tools:
- EM and IR drop routing knowledgeable - not only for full device to
device but also divide branches based on current. Not only do they
route, but if you touch it by hand, you can run a checker who finds
the errors & offers to fix them for you automatically. Nice touch.
- Signal Integrity constraints routing, checker and correction.
- Online RC extraction to march constraints and timing.
- Matching, shielding, even on the fly, differentials, symmetry, etc.
everything an analog layout person would love to have in a router.
- Power mesh definition and details, online clocks with RMS EM
knowledge and hints from an experienced user.
- Automated or assisted placement of cells or devices based on routing
constraints with user help or not.
- Guard rings routed automatically.
- Covers all the 90 nm design rules including smoothing - recommended
rules where possible to increase yield.
- Import and export seamlessly with Cadence though GDSII, netlist,
LEF/DEF and now OA.
- Solves antenna rules and other DFM requirements like via numbers
bigger than minimum where possible.
- ECO flow with no or minimum user involvement - great to have!
- It is not an Astro or First Encounter replacement for BIG blocks,
but Lyric can be used for up to 100K place-able components and has
all the analog features in the digital speed race.
Flow wise this tool, Lyric, can be used for any kind of layout from
Analog to Memories, from Mixed Signal to Digital in the low-end space.
Most impressive feature: Routing Knowledgeable Placement - placing cells
or devices based on pre-routed signals - a MUST for Datapath
(microprocessor, memories, etc.) layout design. After Sycon stopped
selling Tempest Cell and Tempest Block tools, nobody yet was capable
of doing that.
Something very important to know about Pulsic is that they get engaged
very seriously with benchmarks and in many cases add real functions to
the original code just to make customer happy. Many of my friends sent
me their feedback on this "customer support" beyond the call of duty!
- Dan Clein, author of "CMOS IC Layout"
We expect Lyric to do as good a job as manual routing, once we get the
tool nicely configured. We have only been using Lyric for a few weeks,
but like it so far. It has a good control language, and GUI, for
customising the treatment of different classes of nets.
Where some process rules have appeared to be beyond the capacity of the
tool's control syntax, we have found Pulsic supportive in finding
solutions.
- William Barnes of Elixent Ltd.
With my limited experience of shape based routers (Cadence VCR/CCAR and
Pulsic Lyric), I can say that Lyric is the most capable with a
remarkably rich set of features. It works faster and more efficiently
than VCR in any mode, and usually routes cleaner with less interconnect
and fewer vias than VCR or Mentor AutoRoute.
The Lyric options menuing system is a bit confusing at first (lots and
lots of switches). Still, it is better than navigating the forever
cascading pulldowns and sliders that open VCR windows to choke the life
out of the workspace.
Lyric is superior in it's abiltiy to effect ECO changes and is sensitive
to legal placement of new components/blocks. VCR allows you to move
things around, but does not "snap" instances to legal placements.
Autoroute for ECOs is pretty automatic in Lyric and only the new
unrouted nets and affected previously routed nets are incrementally
changed.
Buses are handled better in Lyric than VCR, but there are fewer via
placement styles for a bus in Lyric.
Beyond this, we are still gaining experience using the tool.
- Rick Riedel of On Semiconductors
I did get a chance to see a demo of the Pulsic Lyric tool at DAC'04. At
that time, I was looking for something to help us complete our custom
layouts quicker.
Custom Layout : Pulsic - Lyric Assembly
The Lyric Assembly tool from Pulsic is a tool which provides custom
layout routing. It contains a global router as well as a detailed
router. Their global routing engine guides the automatic routing,
enabling large designs to be routed more rapidly and completely.
Lyric also provides visual and quantitative analysis of the congestion
in the design - highlighting problem areas that can be fixed within the
chip by easy incremental adjustments to the floorplan.
Their detailed router completes all wiring in complex, high density
designs, whether starting from scratch, or from partially completed
routing, as is common in ECO changes.
At that time, I had recommended that we look further at Lyric in Q4'04.
We have since settled on using Virtuoso XL within our existing Cadence
custom design environment. Many of our designs are heavily analog in
nature and we feel that we need the control which custom manual layout
provides. Virtuoso XL allow us to perform these custom layout
activities quickly and efficiently.
- Vic Pierotti of SolarFlare Communications
I was looking at Lyric for two main reasons. First was to see their
ability to incrementally update a design. In Synopsys P&R tools they
do not support incremental features for routing (doesn't work),
extraction, delay calc, MU insertion, STA, etc...
Second I was looking at Lyric to provide custom or special purpose
routing capabilities. ECO routing, matched length, shielded, point to
point, etc...
Oh, and one more requirement was that Lyric had to be able to read and
write Milkyway (preferred) or Open Access (more work for us).
The results of our quick analysis was that the Lyric tool was extremely
capacity limited. We could not handle chip-level designs in this tool.
We used one of our hard macros (~200 K gates) in the eval and Lyric's
performance was bad. The tool is effectively limited to small HMs, MXS
cores, blocks.
Pulsic has a product on the drawing board, Prelude, which is supposed
to be able to handle large capacity designs, but they don't have
resources and customers to justify productizing it. As such, any ECO
or incremental features they have cannot be applied to my design space
(large ASICs).
However, I do think Pulsic has a real advantage over the main EDA
suppliers in the area of supporting incremental updates to designs.
I have a lot of experience with Astro in this, but am just starting
to get into a detailed Magma eval so I don't know what Magma can do
just yet.
The Lyric tool has some great special purpose routing capabilities...
more nobs and twittles than you can imagine. These features were
extremely flexible and useful. However, again, the capacity issue
is the limiting factor.
Pulsic was open to developing a MW integration if we were serious about
buying their tools. They apparently already have the read part done
and the write part could be done in relatively small time-frame (couple
months). However, we disengaged because of the capacity issue. Pulsic
is not very promising about developing Prelude (large capacity with all
the same features as Lyric) based upon lack of business/demand for it.
- [ An Anon Engineer ]
I did see the demo. My interest was in a router for big-A, little-D
mixed-signal chips, where we need to tightly match the parasitics of
the interconnects in the analog side. We do this manually now and
it's painful, but the designs aren't big enough to justify the cost
and effort of a Cadence Nanoroute or Synopsys Astro type tool (although
we do use Silicon Ensemble for the digital blocks.) I thought Lyric
might have been able to do this conveniently at first (and the Pulsic
people implied that it could), but they weren't able to demonstrate it
at our onsite demo. Overall it seemed like it was a decent tool, but
we didn't really have the time or resources to work with them since it
wasn't exactly what we were looking for.
- Mark Rubin of Intersil
Pulsic. This was a demonstration of the Lyric tool which will do
floorplanning and had place and route capability (up to 50k gates).
There is no clock tree capability yet. They say it will be available
soon. There is no timing yet. It will be a PrimeTime interface.
From the analog tools point of view, the Lyric interface to Virtuoso
is excellent (much better than the interface with Cadence's own tools!)
There is Composer cross probing to Lyric. Attributes set on schematics
feed through to layout in Lyric. Good analog routing, but placement is
manual. The tool also does metal fill, crosstalk fix.
Very impressed with the overall speed and look of the tools. Their
interactive routing, wire editing and the Design Rule Driven (DRD)
surpasses the same functionality in Virtuoso-XL. Lyric Editor provides
DRC correct push routing not currently available in Virtuoso-XL.
Device level autorouting in terms of power routing and poly silicon
routing did not appear to offer any new functionality over VCR. This
was disappointing.
The Pulsic Chip Assembly functionality would appear to rival CCAR
functionality. For the most part the AMS functionality of RC extraction
enabling crosstalk avoidance is not available in CCAR. Other additional
functionality includes symmetrical routing and differential routing.
Automatic power routing appeared to be a weak point in the flow (as per
CCAR). Pulsic appears to have greater focus than Cadence on ECOs.
While Pulsic has digital cell placing technology, their focus does seem
to be on the mixed-signal side, which suits us. The company has
dedicated expertise in shape based routing technology.
Their list prices are expensive, but would have to start haggling to
find the real price.
- [ An Anon Engineer ]
Index
Next->Item
|
|