Subject: Is secret Magma "Tekton" project attacking PrimeTime's weaknesses?
> Second, 2X on four processors points to the limitations of PrimeTime over
> the long haul. If this is the best that Synopsys can produce after
> several years of hard work, it points to the need for a bottoms-up rewrite
> of the product. ... It all boils down to making 15 year old PrimeTime
> code go faster ain't easy.
>
> - Isadore Katz of CLK Design Automation, Inc.
> http://www.deepchip.com/wiretap/100114.html
From: John Cooley <jcooley=user domain=zeroskew not mom>
I received a recent DeepChip reader tip that Magma R&D was currently working
on some super secret project code named "Tekton" that had something to do
with "timing analysis". The problem was my tipster didn't know any meaty
details beyond the fact that Magma was trying to keep Tekton very hush hush.
Zip to magma-da.com and look at what they have for timing analysis and you
will find that their QuartzTime tool already claims to do:
Static Timing Analysis
- Enhanced ECSM delay calculator
- Complete 1.4 SDC support with extensions
- Hierarchical constraint support
- Load/store timing data
- SPICE deck generation for qualification
Nanometer Design Support
- OCV analysis
- Multi-mode analysis
- Multi-corner analysis
- Noise/IR drop effects on timing analysis
- Hierarchical analysis
Crosstalk Noise Analysis
- Hierarchical noise analysis
- Highly accurate reduced-order model noise calculation method
- Timing window-based filtering
- Capacitance-based filtering
- Support for Liberty noise format
Crosstalk Delay Analysis
- Timing window-based filtering
- Slew-based crosstalk delay prevention
- Automatic timing window convergence
- OCV with crosstalk analysis
Voltage Drop-Induced Delay
- Instance-specific derating
- Incremental timing to ensure convergence
Visualization for Design Exploration
- Advanced Timing Viewer
- Timing Histograms
- Constraint checking/editing
- Detailed path reporting and graphical breakup: cell delay,
wire delay, crosstalk delay
- Cross-probe timing paths against floorplan, schematic and layout
- Graphical representation of noise violations
- Clock viewer for viewing histograms of insertion delay/skew for
all clock skew groups in the design
Input
- Verilog (netlist),.lib,SDC,SDF,SPEF,Volcano Magma format
Output
- Timing reports,noise reports,.lib,SDC,SDF, Volcano (Magma format)
Platforms
- Sun Solaris, Linux
So, talking with some fellow EDA users, there's two schools of thought as to
exactly what Magma might be attempting with Tekton.
One school says that Rajeev's people might have sensed an opportunity that
QuartzTime, with its modern data structures and algorithms, might be able to
take some market share from PrimeTime's 15 year old data structures and
algorithms -- if only Magma R&D could make QuartzTime a true standalone tool
vs. its current status as yet another Magma tool embedded in a Magma flow.
That is, Project Tekton makes QuartzTime a standalone timing analysis tool.
> If you can qualify for Mentor's NDA agreement, see "Project Janus"
> in the Mentor booth. The DFM/DRC heavy parts of Calibre are being
> morphed into the Sierra Olympus-SoC router. On the non-NDA side,
> Olympus-SoC P&R now does low power and floorplanning for 100+ million
> gate chips. Apparently ST, Fujitsu, NEC, and AMD all now use Olympus.
> (booth 3567) Ask for Sudhakar Jilla. Freebie: beer & wine
>
> - "My Cheesy Must See List for DAC 2009"
> http://www.deepchip.com/gadfly/gad072409.html
The second school thinks Magma R&D is mimicking Mentor's "Project Janus"
where Mentor is embedding a light version of Calibre DRC/LVS into its
Sierra Olympus-SoC for quick-and-not-so-dirty fixes on-the-fly while
still running P&R; but instead its Magma embedding a light version of its
Quartz DRC/LVS into its Talus Vortex for for quick-and-not-so-dirty fixes
on-the-fly while still running P&R.
Almost everyone agrees that whatever "Project Tekton" is, Rajeev probably
wants it kept super secret because he's going to most likely announce it
in his MUSIC'10 keynote in three weeks.
Oops.
- John Cooley
DeepChip.com Holliston, MA
|